Error (10170):Verilog HDL syntax error at ***.v(222) near text "n"; expecting ";" assign empty_n = cnt n;assign full_n = (cnt (max_size-n+1)); assign level = {2{cnt[aw]}} | cnt[aw-1:aw-2]; 语法检查显示第一行有错误

来源:学生作业帮助网 编辑:作业帮 时间:2024/05/15 10:56:49
Error (10170):Verilog HDL syntax error at ***.v(222) near text

Error (10170):Verilog HDL syntax error at ***.v(222) near text "n"; expecting ";" assign empty_n = cnt n;assign full_n = (cnt (max_size-n+1)); assign level = {2{cnt[aw]}} | cnt[aw-1:aw-2]; 语法检查显示第一行有错误
Error (10170):Verilog HDL syntax error at ***.v(222) near text "n"; expecting ";"
assign empty_n = cnt n;
assign full_n = (cnt (max_size-n+1));
assign level = {2{cnt[aw]}} | cnt[aw-1:aw-2];
语法检查显示第一行有错误

Error (10170):Verilog HDL syntax error at ***.v(222) near text "n"; expecting ";" assign empty_n = cnt n;assign full_n = (cnt (max_size-n+1)); assign level = {2{cnt[aw]}} | cnt[aw-1:aw-2]; 语法检查显示第一行有错误
assign empty_n = cnt n;
你的cnt_n 少了下面横杠……